Synopsys Enables Tapeout Success for Early Adopters of Arm's Latest Premium Mobile IP, Including Cortex-A76 and Mali-G76 Processors
Synopsys Design and Verification Platforms and DesignWare Interface IP Enable Optimized PPA and Faster Time-to-Market
MOUNTAIN VIEW, Calif., -- May 31, 2018 -- Synopsys, Inc. (Nasdaq: SNPS) today announced that early adopters of Arm's latest premium mobile platform, including Arm® Cortex®-A76, Cortex-A55, and Arm Mali™-G76 processors, have successfully taped out SoCs using Synopsys' Design Platform with Fusion Technology™, Verification Continuum™ Platform, and DesignWare® Interface IP. In addition, QuickStart Implementation Kits (QIKs) for Cortex-A76 and Cortex-A55 are available today to accelerate time-to-market and achieve optimized performance, power, and area (PPA).
"Early and deep Arm-Synopsys collaboration has enabled tapeout success for initial adopters of Arm's new premium mobile platform, including Arm Cortex-A76, Cortex-A55 and Arm Mali-G76 processors," said Deirdre Hanford, co-general manager, Synopsys Design Group. "The combination of Synopsys' Design Platform with Fusion Technology, Verification Continuum Platform, and DesignWare interface IP delivers optimized performance, power and area with accelerated time-to-market for Arm-based products."
Synopsys' Design Platform with Fusion Technology has been leveraged to achieve optimized implementation of the new mobile cores:
- 7nm and below implementation in Design Compiler® Graphical and IC Compiler™ II place-and-route system
- Higher performance with automatic density control and timing-driven placement
- Lower power with full-flow concurrent clock and datapath (CCD) optimization
- Signoff closure with PrimeTime® PBA-based ECO with power recovery and exhaustive PBA along with StarRC™ simultaneous multi-corner extraction
- Early, accelerated design optimization for power integrity and reliability with the RedHawk™ Analysis Fusion signoff-driven flow within IC Compiler II
The QIKs for Cortex-A76 and Cortex-A55, which include implementation scripts and reference guides, take advantage of new Fusion Technology to deliver improved PPA and faster turnaround. The QIKs were built using Arm Artisan® POP™ technology optimized for these Arm mobile processors in 7-nanometer (nm) process technology. To help designers achieve their design targets quickly and confidently, Synopsys offers design services based on extensive experience hardening Arm processors; services available range from QuickStart implementation through turnkey core hardening.
Early adopters of Arm's new premium mobile platform used Synopsys' Verification Continuum solutions extensively in their tapeouts, including:
- Synopsys prototyping solutions including the Virtualizer™ Development Kit (VDK) Family for Arm processors, with Arm Fast Models for Cortex-A76 and Cortex-A55, and HAPS® FPGA-based prototypes
- Synopsys VCS® simulation with fine-grained parallelism technology for Arm Cortex-A processors, and verification IP for Arm AMBA® interconnect
- Synopsys ZeBu® emulation
Synopsys' high-quality DesignWare Interface IP, used by early adopters of Arm's new premium mobile platform, enables rapid development of mobile SoCs. DesignWare IP for mobile markets includes controllers and PHYs for USB, DDR, PCI Express®, MIPI, and Mobile Storage interfaces, and is shipping in billions of units today.
"Arm has worked with Synopsys to enable numerous mutual customer successes," said Nandan Nayampally, vice president and general manager, Client Line of Business at Arm. "Through early engagement on our new suite of premium mobile IP, we have enabled our initial adopters to tape-out with solutions that accelerate their designs to market while optimizing power, performance, and area."
Availability
QuickStart Implementations Kits (QIKs) for the new Cortex-A76, Cortex-A55 and other key Arm Cortex-A class processors are available today at https://www.synopsys.com/arm-opto.
About Synopsys
Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to Software™ partner for innovative companies developing the electronic products and software applications we rely on every day. As the world's 15th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP and is also growing its leadership in software security and quality solutions. Whether you're a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest security and quality, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at www.synopsys.com.
Related Semiconductor IP
- NPU IP Core for Mobile
- NPU IP Core for Edge
- Specialized Video Processing NPU IP
- HYPERBUS™ Memory Controller
- AV1 Video Encoder IP
Related News
- Renesas' New Ultra-High Performance MCUs are Industry's First Based on Arm Cortex-M85 Processor
- Synopsys Expands Its ARC Processor IP Portfolio with New RISC-V Family
- Synopsys Acquires RISC-V Processor Simulation Tools Firm
- Nordic Semiconductor and Arm reaffirm partnership with licensing agreement for latest low power processor designs, software platforms, and security IP
Latest News
- Jim Keller: ‘Whatever Nvidia Does, We’ll Do The Opposite’
- FlexGen Streamlines NoC Design as AI Demands Grow
- IntoPIX Presents Its New Titanium Software Suite: Empowering AV-Over-IP Workflows With Speed, Quality & Interoperability
- Global Semiconductor Sales Increase 2.5% Month-to-Month in April
- Speedata Raises $44M to Launch First-Ever Chip Designed Specifically for Accelerating Big Data Analytics - Compute's Second Largest Workload